Welcome![Sign In][Sign Up]
Location:
Search - hamming code in vhdl

Search list

[VHDL-FPGA-VerilogHammingEncoder

Description: VHDL编写的汉明纠错码译码器,数字传输中汉明纠错码的译码所用-VHDL prepared Hamming error-correcting code decoder, digital transmission Hamming error-correcting codes used in the decoding
Platform: | Size: 1024 | Author: wei | Hits:

[VHDL-FPGA-Veriloghanmingjiaozhi

Description: 通过VHDL实现汉明码,交织码的编码与解码,开发环境Quartus-Through VHDL realize hamming code, interleaving the encoding and decoding code, development environment, Quartus
Platform: | Size: 3072 | Author: mayue | Hits:

[Other7

Description: (7,4)汉明码的编码程序,实现4位信息位后加三位监督位,组成7位码组-(7,4) hamming code encoding process, realize information-bit 4 after three supervision, and composed of seven yards Group
Platform: | Size: 1024 | Author: Hargie | Hits:

[VHDL-FPGA-Verilog74HammingCode

Description: 用VHDL语言编写的可以实现(7,4)汉明码编解码的程序。-Using VHDL language can be achieved (7,4) Hamming Code Codec procedures.
Platform: | Size: 677888 | Author: 王磊 | Hits:

[VHDL-FPGA-VeriloghammingDec

Description: hamming/汉明码的解码代码,在通信中常常用到汉明码-hamming/hamming code decoder code, usually used in communication Hamming Code
Platform: | Size: 1024 | Author: leng | Hits:

[Windows Develophamming

Description: Hamming code generator for 4 bit
Platform: | Size: 3072 | Author: Digitalkurt | Hits:

[source in ebookHammingDecoder

Description: -- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee USE ieee.std_logic_1164.ALL ENTITY hamdec IS PORT(hamin : IN BIT_VECTOR(0 TO 7) --d0 d1 d2 d3 p0 p1 p2 p4 dataout : OUT BIT_VECTOR(0 TO 3) --d0 d1 d2 d3 sec, ded, ne : OUT BIT) --diagnostic outputs END hamdec ARCHITECTURE ver1 OF hamdec IS BEGIN --- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee USE ieee.std_logic_1164.ALL ENTITY hamdec IS PORT(hamin : IN BIT_VECTOR(0 TO 7) --d0 d1 d2 d3 p0 p1 p2 p4 dataout : OUT BIT_VECTOR(0 TO 3) --d0 d1 d2 d3 sec, ded, ne : OUT BIT) --diagnostic outputs END hamdec ARCHITECTURE ver1 OF hamdec IS BEGIN
Platform: | Size: 4096 | Author: djs | Hits:

[3G developHANMINGMA

Description: 7,4汉明码的编译码原理,用VHDL语言实现的,需要的请下载-Hamming code encoding and decoding of 7,4 principle, using VHDL language and the clear need to download
Platform: | Size: 1024 | Author: mayuan | Hits:

[VHDL-FPGA-VerilogRS_5_3_GF256

Description: 用于NAND FLASH CONTROLLER 中的 ecc 各个模块VHDL代码-NAND FLASH CONTROLLER for ecc modules in VHDL code
Platform: | Size: 197632 | Author: 陈佳宜 | Hits:

[VHDL-FPGA-Verilogddr2_hamdec64

Description: VHDL实现的64bit海明码解码模块。 可适用于 Xilinx FPGA, Altera FPGA。-VHDL Implement 64bit Hamming Code (decode)
Platform: | Size: 2048 | Author: seiya | Hits:

[Home Personal applicationHDECODER

Description: code for hamming code
Platform: | Size: 7168 | Author: farid | Hits:

[matlabencode

Description: (7 4)汉明编码源程序,简单实用,可供大家下载,如有问题,望大家多多包含!-(74) Hamming code source, simple and practical, available for everyone to download and, if problems, hope you lot included!
Platform: | Size: 1024 | Author: lijun | Hits:

[OS programVHDL_hammingcode

Description: 自己做的信道编译码,(4,7)汉明码的加错解错源代码-Do their own channel coding, (4,7) Hamming code plus misconception wrong source
Platform: | Size: 3072 | Author: 杨敦坤 | Hits:

[Com PortHAMMING_CODE_vhdl

Description: 用vhdl语言编写的汉明码的发送与接收,并在fpga开发板上实现-With the Hamming code written in vhdl sending and receiving, and fpga development board implementation
Platform: | Size: 714752 | Author: ql | Hits:

[VHDL-FPGA-VerilogHamming

Description: HAMMING CODE IN VHDL
Platform: | Size: 6144 | Author: dan | Hits:

CodeBus www.codebus.net